GPTKB
Browse
Query
Compare
Download
Publications
Contributors
Search
VHSIC Hardware Description Language
URI:
https://gptkb.org/entity/VHSIC_Hardware_Description_Language
GPTKB entity
Statements (42)
Predicate
Object
gptkbp:instanceOf
hardware description language
gptkbp:abbreviation
gptkb:VHDL
gptkbp:category
gptkb:International_Standard
gptkb:programming_language
hardware description language
gptkbp:designedFor
electronic design automation
gptkbp:domain
digital logic design
ASIC design
FPGA design
gptkbp:fileExtension
.vhd
.vhdl
gptkbp:firstAppearance
1980s
gptkbp:hasCommercialImplementation
gptkb:Active-HDL
gptkb:Vivado
gptkb:ModelSim
gptkb:Quartus_Prime
gptkbp:hasStandardRevision
gptkb:VHDL-1987
gptkb:VHDL-1993
gptkb:VHDL-2002
gptkb:VHDL-2008
gptkb:VHDL-2019
https://www.w3.org/2000/01/rdf-schema#label
VHSIC Hardware Description Language
gptkbp:influenced
gptkb:VHDL-AMS
gptkb:MyHDL
gptkb:SystemVerilog
gptkbp:influencedBy
gptkb:Ada_(programming_language)
gptkbp:namedAfter
gptkb:Very_High_Speed_Integrated_Circuit_program
gptkbp:openSource
gptkb:GHDL
gptkbp:origin
gptkb:United_States_Department_of_Defense
gptkbp:standardizedBy
gptkb:IEEE
gptkbp:standardNumber
gptkb:IEEE_1076
gptkbp:supports
modular design
concurrent programming
hierarchical design
sequential programming
testbenches
gptkbp:usedFor
simulation of digital circuits
synthesis of digital circuits
modeling digital systems
gptkbp:website
https://www.eda.org/vhdl.html
gptkbp:bfsParent
gptkb:VHDL
gptkbp:bfsLayer
5