Statements (53)
Predicate | Object |
---|---|
gptkbp:instance_of |
gptkb:software
|
gptkbp:bfsLayer |
6
|
gptkbp:bfsParent |
gptkb:Xilinx_FPGA_Products
|
gptkbp:developed_by |
gptkb:Xilinx
|
gptkbp:has |
gptkb:document
GUI training resources command line interface user community support forums |
https://www.w3.org/2000/01/rdf-schema#label |
ISE Design Suite
|
gptkbp:includes |
simulation tools
synthesis tools implementation tools |
gptkbp:is_available_on |
Xilinx website
|
gptkbp:is_compatible_with |
gptkb:operating_system
|
gptkbp:is_part_of |
Xilinx software suite
|
gptkbp:is_used_by |
gptkb:architect
gptkb:University gptkb:museum |
gptkbp:is_used_for |
digital circuit design
|
gptkbp:latest_version |
14.7
|
gptkbp:provides |
debugging tools
place and route tools logic synthesis IP cores power analysis tools design optimization tools timing analysis tools design entry tools floorplanning tools static timing analysis timing closure tools |
gptkbp:released_in |
gptkb:2000
|
gptkbp:replaced_by |
gptkb:theorem
|
gptkbp:successor |
gptkb:Vivado_Design_Suite
|
gptkbp:supports |
gptkb:System_Verilog
gptkb:System_C VHDL Verilog FPGA design RTL design design verification hardware description languages embedded systems design FPGA programming design reuse Tcl scripting gate-level design high-level synthesis multi-language simulation |
gptkbp:used_in |
gptkb:academic_research
industry projects |